Welcome![Sign In][Sign Up]
Location:
Search - rs232 vhdl code

Search list

[Other resourceRS232-for-vdhl

Description: RS232通讯VHDL源代码,MAXPLUS 2环境执行通过-RS232 communications VHDL source code, Segments 2 environment through implementation
Platform: | Size: 162360 | Author: lq | Hits:

[VHDL-FPGA-VerilogVHDLRS232_RS422

Description: VHDL写的RS232和RS485通信代码,很基础的一个工具-VHDL written RS232 and RS485 communication code, it is a tool based on
Platform: | Size: 583680 | Author: dvp | Hits:

[MiddleWaremmu_uart

Description: uart RS232 VHDL Code
Platform: | Size: 18432 | Author: hype | Hits:

[VHDL-FPGA-VerilogPS2RS232

Description: 这是关于PS2和rs232串口的代码,verilog的,是深入了解串口的好的学习实例。-good code about rs232 and ps2
Platform: | Size: 1770496 | Author: 秦天 | Hits:

[VHDL-FPGA-Verilogserial

Description: VHDL source code for Serial communication (RS232)
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-Verilogrs232

Description: this is vhdl code. purpose of rs-232 connected with altera cyclone2.
Platform: | Size: 94208 | Author: kimjuhyun | Hits:

[VHDL-FPGA-VerilogCOMMAND232_SEND

Description: 这个代码用VHDL编写,是RS232在UART协议层发送数据的实现过程,很有用的!-The VHDL code is written, is the RS232 UART protocol layer in the implementation process of sending data, very useful!
Platform: | Size: 1024 | Author: xingzhanpeng | Hits:

[VHDL-FPGA-VerilogRs232-reciever

Description: RS232 reciver vhdl code for RS232 EIA232-RS232 reciver vhdl code for RS232 EIA232
Platform: | Size: 2048 | Author: sgma | Hits:

[Other1.UART

Description: 该代码主要实现UART的串行通信,针对的是RS232芯片,同时包含了verilog和VHDL编写的程序-The code UART serial communication, RS232 chip, also contains a program written in verilog and VHDL
Platform: | Size: 1501184 | Author: mingbo | Hits:

[VHDL-FPGA-Verilogrs232_tr

Description: 自学的串口通信模块,包含接收模块,发送模块,波特率模块,顶层模块-RS232 communication application,VHDL code
Platform: | Size: 5120 | Author: lkg | Hits:

[VHDL-FPGA-VerilogM_UartRecv0_tb

Description: rs232串口基于VHDL的testbench代码 很有用的 经过验正的 -RS232 serial port based on testbench s VHDL code is very useful to the RS232 serial port based on testbench VHDL code is very useful to pass the test
Platform: | Size: 1024 | Author: 孙悦 | Hits:

[Otherkehshechenxu

Description: 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用“串口调试器“软件; 发送和接收数据时,由两个LED分别指示。 发挥:自动回发功能、接收到特殊字符(自定义)自动更改波特率。(A full duplex UART circuit, converted into RS232 level by MAX202E test box, communication experiment with computer, set of 8 buttons, keys for ASIC code "1" to "8", to the computer through the serial port to send and display keys on the computer, at the same time in the digital tube display high computer can send "; 0" to "F" in the ASIC code, FPGA after receiving the digital tube display low 0~F. You can set the baud rate by the button. Requirements: baud rate for three, 1200, 2400, 9600, selected by 1 buttons, 3 LED, respectively; The data format consists of 1 bit start bits, 8 bit data bits, and one stop bit; The upper computer sends and receives the software, and the serial debugger can be used; When sending and receiving data, instructions are given by two LED respectively. Play: Auto postback function, receive special characters (custom), change baud rate automatically.)
Platform: | Size: 2948096 | Author: 淡淡的意识 | Hits:

[VHDL-FPGA-VerilogE8_1_RS232

Description: VHDL编写的RS232串口通讯代码,可以使用。(VHDL prepared by the RS232 serial communication code, you can use.)
Platform: | Size: 762880 | Author: lionsde | Hits:

[VHDL-FPGA-VerilogM_UartRecv0

Description: rs232串口基于VHDL的代码 很有用的 正确的 rs232串口基于VHDL的代码 很有用的 正确的(RS232 serial port based on VHDL code is very useful for the correct RS232 serial port based on VHDL code is very useful)
Platform: | Size: 3072 | Author: 孙悦 | Hits:
« 1 2»

CodeBus www.codebus.net